Skip to content

Latest commit

 

History

History
64 lines (59 loc) · 3.36 KB

README.md

File metadata and controls

64 lines (59 loc) · 3.36 KB

'docs' workflow Status 'images' workflow Status 'push' workflow Status 'coverage' workflow Status

VUnit is an open source unit testing framework for VHDL/SystemVerilog. It features the functionality needed to realize continuous and automated testing of your HDL code. VUnit doesn't replace but rather complements traditional testing methodologies by supporting a test early and often approach through automation. Read more about VUnit.

Contributing in the form of code, docs, feedback, ideas or bug reports is welcome. Read our contributing guide to get started.