Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Regression: exploded stack in log function when running with Acitve-HDL #1045

Open
jobtijhuis opened this issue Jul 24, 2024 · 2 comments
Open

Comments

@jobtijhuis
Copy link

Something in the log functions seems to be causing the "Error: KERNEL_0060 Stack area is too small, please increase it by the -stack option." error in Active-HDL 15 (Student Edition). There really seems to be something wrong because increasing the stack size does not allow the testbench to run. I think something changed in the log functions that causes the stack to explode in size.

The regression seems to be introduced in this commit: b69eac2

Minimal reproduceable example

library ieee;
context ieee.ieee_std_context;
use ieee.math_real.all;

library vunit_lib;
use vunit_lib.check_pkg.all;
use vunit_lib.run_types_pkg.all;
use vunit_lib.run_pkg.all;

entity axi_state_transmission_tb is
  generic (
    CLOCK_PERIOD : time   := 10 ns;
    TEST_TIMEOUT : time   := 1 us;
    RUNNER_CFG   : string := RUNNER_CFG_DEFAULT
  );
end entity;

architecture sim of axi_state_transmission_tb is

  signal clock    : std_ulogic := '0';
  signal n_areset : std_ulogic := '0';

begin

  test_runner_watchdog(runner, TEST_TIMEOUT);

  process
  begin
    test_runner_setup(runner, RUNNER_CFG);

    vunit_lib.logger_pkg.error("Failed something");

    test_runner_cleanup(runner);
  end process;

end architecture;
@LarsAsplund
Copy link
Collaborator

I haven't been able to reproduce this problem but I recall seeing it at some point but it disappeared for unknown reasons. I suggest you start updating to the latest VUnit release (clone from Github or do pip install with the --pre option). I'm using Active-HDL 14.0.258.8726.

@jobtijhuis
Copy link
Author

I was using the latest version of master when I encountered this problem. I will try to reproduce it on my work pc with an actual license. Maybe there are bug fixes in Active-HDL that the student version doesn't have.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants