Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Simulation fails with Modelsim with special character in path #1048

Closed
magnmaeh opened this issue Aug 7, 2024 · 1 comment
Closed

Simulation fails with Modelsim with special character in path #1048

magnmaeh opened this issue Aug 7, 2024 · 1 comment

Comments

@magnmaeh
Copy link

magnmaeh commented Aug 7, 2024

I assume the issue is the same as #565, just the problem is with special characters like "æ" and not space. The two first lines have � - this should be "æ".

Output log:

# C:\Users\MagnusM�hlum\AppData\Local\Packages\PythonSoftwareFoundation.Python.3.12_qbz5n2kfra8p0\LocalCache\local-packages\Python312\site-packages\vunit\sim_if\tcl_read_eval_loop.tcl
# invalid command name "C:UsersMagnusM�hlumAppDataLocalPackagesPythonSoftwareFoundation.Python.3.12_qbz5n2kfra8p0LocalCachelocal-packagesPython312site-packages�unitsim_if	cl_read_eval_loop.tcl"
puts #VUNIT_RETURN
source "C:/<...>/vunit_out/test_output/wn_lib.rx_sampler_tb.rx_sampler_tb_test_15c30cd69691ac5ea8fb6d11f6f7de6d56a45152/modelsim/common.do"
puts #VUNIT_RETURN
set failed [vunit_load]
# vsim -modelsimini C:/<...>/vunit_out/modelsim/modelsim.ini -wlf C:/<...>/vunit_out/test_output/wn_lib.rx_sampler_tb.rx_sampler_tb_test_15c30cd69691ac5ea8fb6d11f6f7de6d56a45152/modelsim/vsim.wlf -quiet -t ps -onfinish stop wn_lib.rx_sampler_tb(bench) wn_lib.glbl -L vunit_lib -L unisim -L unimacro -L unifast -L secureip -L xpm -L axis_dwidth_converter_v1_1_17 -L fir_compiler_v7_2_11 -L axis_register_slice_v1_1_18 -L xbip_dsp48_wrapper_v3_0_4 -L xbip_bram18k_v3_0_5 -L cmpy_v6_0_16 -L xbip_pipe_v3_0_5 -L c_addsub_v12_0_12 -L floating_point_v7_0_15 -L c_reg_fd_v12_0_5 -L xbip_dsp48_addsub_v3_0_5 -L fifo_generator_v13_2_3 -L xbip_addsub_v3_0_5 -L axi_utils_v2_0_5 -L c_shift_ram_v12_0_12 -L blk_mem_gen_v8_4_2 -L c_mux_bit_v12_0_5 -L xil_defaultlib -L axis_data_fifo_v2_0_0 -L xfft_v9_1_1 -L xbip_utils_v3_0_9 -L mult_gen_v12_0_14 -L axis_infrastructure_v1_1_0 -L wn_lib -L osvvm -g/rx_sampler_tb/runner_cfg="active python runner : true,enabled_test_cases : ,output path : C::/<...>/vunit_out/test_output/wn_lib.rx_sampler_tb.rx_sampler_tb_test_15c30cd69691ac5ea8fb6d11f6f7de6d56a45152/,tb path : C::/<...>/tb/,use_color : true" 
# Start time: 10:30:08 on Aug 07,2024
# //  ModelSim DE-64 2019.4 Oct 15 2019
# //
# //  Copyright 1991-2019 Mentor Graphics Corporation
# //  All Rights Reserved.
# //
# //  ModelSim DE-64 and its associated documentation contain trade
# //  secrets and commercial or financial information that are the property of
# //  Mentor Graphics Corporation and are privileged, confidential,
# //  and exempt from disclosure under the Freedom of Information Act,
# //  5 U.S.C. Section 552. Furthermore, this information
# //  is prohibited from disclosure under the Trade Secrets Act,
# //  18 U.S.C. Section 1905.
# //
# false
puts #VUNIT_RETURN
puts #VUNIT_READVAR=$failed
quit -force -code 0
# End time: 10:30:10 on Aug 07,2024, Elapsed time: 0:00:02
# Errors: 0, Warnings: 0
@LarsAsplund
Copy link
Collaborator

Tested with Questa, GHDL, Riviera-PRO, Active-HDL, and NVC under windows and only NVC can handle this without problems. The tools fail in various ways but GHDL is very explicit about the problem:

error: invalid character not allowed, even in a string

I think this is a limitation that we will have to live with.

@magnmaeh magnmaeh closed this as not planned Won't fix, can't repro, duplicate, stale Sep 16, 2024
This issue was closed.
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants