Skip to content

Commit

Permalink
Removing types doc
Browse files Browse the repository at this point in the history
  • Loading branch information
mschiller-nrao committed May 26, 2023
1 parent 73d2e66 commit 482b810
Show file tree
Hide file tree
Showing 10 changed files with 10 additions and 10 deletions.
2 changes: 1 addition & 1 deletion casper_accumulators/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -29,7 +29,7 @@

# Create library 'common_pkg_lib'
common_pkg_lib = vu.add_library("common_pkg_lib")
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))
Expand Down
2 changes: 1 addition & 1 deletion casper_adder/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -83,7 +83,7 @@ def generate_tests(obj, direc, add_sub, inp_pipeline, out_pipeline, in_dat_w):
lib2.add_source_files(os.path.join(script_dir, "../common_components/*.vhd"))

lib3 = vu.add_library("common_pkg_lib",allow_duplicate = True)
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
#lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/float_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/*.vhd"))
Expand Down
2 changes: 1 addition & 1 deletion casper_counter/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,7 @@
casper_counter_lib.add_source_files(join(script_dir,"./*.vhd"))

common_pkg_lib = vu.add_library("common_pkg_lib")
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))
Expand Down
2 changes: 1 addition & 1 deletion casper_delay/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -29,7 +29,7 @@

# Create library 'common_pkg_lib'
common_pkg_lib = vu.add_library("common_pkg_lib")
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))
Expand Down
2 changes: 1 addition & 1 deletion casper_fifo/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -23,7 +23,7 @@
lib2.add_source_files(os.path.join(script_dir, "../common_components/common_async.vhd"))

lib3 = vu.add_library("common_pkg_lib",allow_duplicate = True)
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
#lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/float_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/*.vhd"))
Expand Down
2 changes: 1 addition & 1 deletion casper_filter/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -62,7 +62,7 @@ def manglePkg(file_name, line_number, new_line):

# COMMON PACKAGE Library
common_pkg_lib = vu.add_library("common_pkg_lib")
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))
Expand Down
2 changes: 1 addition & 1 deletion casper_flow_control/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@

# Create library 'common_pkg_lib'
common_pkg_lib = vu.add_library("common_pkg_lib")
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))
Expand Down
2 changes: 1 addition & 1 deletion casper_multiplexer/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -73,7 +73,7 @@ def generate_tests(obj,
lib2.add_source_files(os.path.join(script_dir, "../common_components/common_pipeline_sl.vhd"))

lib3 = vu.add_library("common_pkg_lib")
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
#lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/float_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/*.vhd"))
Expand Down
2 changes: 1 addition & 1 deletion casper_ram/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -41,7 +41,7 @@

# COMMON PACKAGE Library
common_pkg_lib = vu.add_library("common_pkg_lib")
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))
Expand Down
2 changes: 1 addition & 1 deletion misc/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,7 @@

# Create library 'common_pkg_lib'
common_pkg_lib = vu.add_library("common_pkg_lib")
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))
Expand Down

0 comments on commit 482b810

Please sign in to comment.